CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm fpga

搜索资源列表

  1. fpga-pwm

    0下载:
  2. 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1163190
    • 提供者:黄家武
  1. PWM

    0下载:
  2. 用FPGA实现pwm调制波,通过单片机软核控制输入量来实现任意占空比方波的产生-wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4520593
    • 提供者:
  1. dengjingdupinlvji

    0下载:
  2. 单片机和FPGA共同组成的系统,FPGA数据采集,单片机作数据的处理。板子是某家公司的。内附详细流程及说明。-MCU and FPGA co-component systems, FPGA data acquisition, single-chip microcomputer for data processing. Board are a company. Attached flow, and describe in detail.
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:406454
    • 提供者:张兮兮
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. EP1C3_12_1_2_MOTO

    0下载:
  2. 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1193917
    • 提供者:deadtomb
  1. Altera_FPGA_pwm

    0下载:
  2. 基于FPGA(ALTERA公司的FPGA)PWM的测试程序。-Based on FPGA (ALTERA' s FPGA) PWM test procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2053
    • 提供者:王祥以
  1. pwm

    0下载:
  2. 运用FPGA 产生pwm脉宽调制信号的源代码-use fpga generate pwm signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2425872
    • 提供者:任彩虹
  1. 02FPGA_FuzzyController

    0下载:
  2. FPGA based PWM controller
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:206578
    • 提供者:samy
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. pwm

    0下载:
  2. 利用Verilog语言产生17路PWM波,控制17路舵机,可以作为IP核添加到AVALON总线上,在nios IDE里用C语言控制。-Using Verilog language production of 17 Road PWM signal to control 17 Servos, can be used as IP core to the AVALON bus, in the nios IDE in control with the C language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3389
    • 提供者:尹长生
  1. AtmelFPGAPwm

    0下载:
  2. atmel fpga pwm implimentation docs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:147804
    • 提供者:rebiha
  1. pwm

    0下载:
  2. PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware descr iption language! Useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:76376
    • 提供者:周涛
  1. PWM_DA

    1下载:
  2. 可以产生PWM波形文件 ,熟悉基于FPGA的开发流程 自己写的程序-PWM waveform files can be generated, FPGA-based development process familiar to write their own programs
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:196478
    • 提供者:冯超
  1. FPGA-PWM

    0下载:
  2. 用FPGA实现PWM信号生成,目前还是比较热门。也是很好的参考资料-PWM signal generation with the FPGA implementation, is still more popular. Is also a good reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:258570
    • 提供者:于淼
  1. PWM

    0下载:
  2. PWM Source Code in VHDL For FPGA Devices
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:484205
    • 提供者:saber
  1. pwm

    0下载:
  2. FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:432312
    • 提供者:cood
  1. pwm

    1下载:
  2. 基于FPGA的PWM波的产生,通过计数的方法实现-FPGA-based generation of PWM wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:896
    • 提供者:彭娟娟
  1. PWM-DCcontroller-design-on-FPGA

    0下载:
  2. 基于FPGA的直流电机PWM控制器设计,利用FPGA芯片设计了直流电机PWM控制器-DC motor PWM controller design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:218118
    • 提供者:
  1. pwm

    1下载:
  2. 这是用FPGA做的一个pwm波的程序,调试过的非常好用的程序,下载就可以用 ,占空比可以自己改-This is done with a pwm wave FPGA program, debug the program had a very easy to use, download you can use, the duty cycle can do it ourselves
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:308839
    • 提供者:孙博
  1. pwm

    0下载:
  2. 基于FPGA实现的脉宽调制技术,可应用LED与电机驱动。-Pulse-width modulation based on FPGA technology can be applied to LED and motor drive.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1062051
    • 提供者:林积分
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com